学科分类
/ 6
107 个结果
  • 简介:表面安装技术在许多电子产品的生产制造中被大量采用,本文就表面安装PCB设计时需要考虑的一些制造工艺性问题进行了阐述,给SMT设计人员提供一个参考.

  • 标签: 表面安装 微电子 印刷电路板 设计工艺
  • 简介:ARM与Globalfoundries公司共同宣布,已完成其20nm晶片的设计定案(tapeout),并展示了采用28nm制程技术、频率达2.5GHz以上的Cortex-A9SoC。

  • 标签: 设计 制程技术 ARM
  • 简介:介绍了UWB高精度定位系统中标签的设计,标签是一种便携式、低功耗无线通信模块。本设计是基于C8051F921单片机和nRF24L01低功耗无线收发芯片组成的硬件结构,设计包括电源的设计,采用了尺寸较小的锂电池。UWB窄带脉冲可以达到纳秒级,延时抖动延时完全在允许的范围内。最终设计的标签实现了在系统的控制下,完成数据收发,使定位精度在30cm内。

  • 标签: UWB 单片机 低功耗 NRF24L01 标签
  • 简介:问:您觉得中国大陆IC设计行业未来发展会是怎样的模式?答:中国大陆半导体业相对于欧关韩日台等国家和地区还有很大差距。在IC设计方面,硅谷一直占有中心领导地位,与大陆在今后的半导体产业发展联系较密切的台湾则落后硅谷一截,而国内总体

  • 标签: 设计服务 设计公司 中国大陆 设计行业 产业发展 半导体
  • 简介:http://www.aspdac2005.com2005年1月18~21日中国,上海竞赛目的亚洲南太平洋地区设计自动化会议(ASP-DAC2005—AsiaandSouthPacificDesignAutomationConference2005)的特点之一是同时进行大学LSI设计竞赛。竞赛目的是鼓励大学和其他教育机构进行VLSI的教学和科研。欢迎选择以下内容投稿:(1)在大学和其他教育机构设计并在芯片上实现的集成电路;(2)报导实际测量国和已实现的设计;(3)创新的设计原型;

  • 标签:
  • 简介:随着经济的飞速发展,社会的进步,人们对电子科技产品的需求越来越丰富,而企业之间的竞争越来越激烈,重视客户需求并实现“短平快”交付是企业竞争力的一个重要表现。在电子产品PCB设计中,优化设计流程,将产品串行设计更改为并行设计,是缩短产品开发周期的一个有效方案。文章通过流程更改、软件选择、协作方案等方面进行分析,讨论各要素在产品设计“短周期”上的作用,可为项目实现“短平快”目标提供参考和借鉴。

  • 标签: PCB设计 协作设计 CADENCE Allegro设计软件 短周期
  • 简介:本文根据xilinxFPGA的SOPC嵌入式系统设计的方法,提出了基于SOPC技术的TFT—LCD显示系统的解决方案,分析了TFT—LCD控制器的设计思路,分析了系统硬件的构建流程、组成结构以及各部分IP核的功能,给出硬件设计和软件编程测试的方法。最终结果表明系统稳定可靠,显示清晰,色彩丰富,达到了系统设计要求。

  • 标签: SOPC TFT—LCD控制器 IP核 嵌入式
  • 简介:快速哈达马变换在3G无线通信中具有广泛的应用。本文在分析快速哈达马变换算法的基础上提出了两种快速哈达马变换的折叠结构,并分别分析了这两种折叠结构的电路结构、时钟频率要求、资源消耗等因素。最后给出了这两种电路结构在AlteraFPGA上实现的对比情况。

  • 标签: 哈达马变换 折叠 分时复用
  • 简介:EDA协会(EDAC)日前发表市场统计服务报告指出。2005年第一季度全球EDA行业营业收入为9.89亿美元,相比2004年第一季度的9.95亿美元微弱下降近1个百分点;而不含服务收入的首季营收则为9.12亿美元.比2004年同季的9.18亿美元微幅下跌。

  • 标签: 电子设计自动化 印刷电路板 EDA协会 设计复杂性
  • 简介:基于费马定理,提出了设计有限域GF(2m)上求逆器的改进方法,该方法不采用正规基来实现平方运算,也不仅仅采用一般的平方器和乘法器实现求逆运算,而是直接设计了求元素幂次的电路,达到了较低的延迟.同时结合例子给出了具体的设计方法,设计的求逆器已经在RS解码器中得到了应用.

  • 标签: 器设计 求逆 设计实现
  • 简介:日前在法国召开的多处理器系统级芯片(MPSoC)论坛上.IBM系统与技术事业群技术开发与联盟副总裁LisaSu发表主题演讲时指出,系统级芯片(SoC)的性能提升将取决于集成设汁系统创新。

  • 标签: SOC 系统级芯片 集成设计系统 技术创新 计算机
  • 简介:CIC滤波器广泛应用于数字信号处理。CIC滤波器只需加法器便可完成滤波,结构简单,效率较高,并且可以减弱频谱的混叠效应。针对实际应用中要求对不同带宽信号进行处理,本文提出了抽取速率可编程的CIC滤波器设计方案,并针对不同速率时的截位问题进行分析。验证表明,本文提出的截位方案符合项目性能要求。

  • 标签: CIC滤波器 可编程 截位
  • 简介:本文介绍了一种适用于北斗导航RDSS终端的发射电路。发射电路采用混频器将基带BPSK数字信号直接调制到1.616GHz,调制波再通过预功放电路进行放大,用来驱动片外的功率放大器。预功放电路采用差分结构,提高电路的稳定性和抗干扰能力。采用TSMC55nmCMOS工艺,电路已经流片验证。测试结果显示,载波抑制大于35.9dB,相位误差小于2.6°,最大输出功率为1ldBm。

  • 标签: 发射机 RDSS 北斗 调制 CMOS
  • 简介:文章主要针对盲槽产品的制作流程及加工方法的控制作探讨,盲槽孔主要是利用已经钻好槽孔板和半固化片与另一张板进行压合形成。压合盲槽板时半固化片上所钻的槽孔大小设计/品质及半固化片本身流胶量严重影响成品盲槽的品质,本次主要以影响盲槽孔品质的几个因素作实验层别:半固化片槽孔大小分别比成品槽孔单边大0.4mm、0.6mm、0.8mm;PP厚度0.0375mm×3张;PP铣槽孔时叠板数为6、9、12。结论:半固化片槽孔单边大0.8mm最优,1.5mil厚的PP铣板叠板数9张时铣出的PP压合后槽孔品质符合要求,叠板数越少铣槽的效果越好;介层总厚度相同时,PP选用的张数越少压合时流胶越少,盲槽孔孔形越好。

  • 标签: 盲槽孔 槽孔 叠板 设计 流程 控制
  • 简介:新思科技日前宣布,Graphcore采用新思科技DesignPlatform成功设计其ColossusTM智能处理单元(IPU),与现有处理器(CPU和GPU)相比,加速了人工智能(AI)计算。新思科技全面的数字和定制设计流程通过关键的人工智能优化技术,使设计者能够在应用于云计算、数据中心、汽车和移动应用的AI芯片上,提供同类最佳的设计实现质量(QoR)和最短的设计收敛时间(TTR)。新思科技Fusion技术TM增强了AI芯片设计能力,包括互连规划、乘法累加(MAC)拓扑优化和完整的AIIP参考流程,以实现最快速度、最小面积、最低功耗及实现三者的最佳平衡。

  • 标签: 设计流程 新思科技 芯片 FUSION 人工智能 优化技术
  • 简介:前几年,半导体业总产值超过了3000亿美元,过去一直徘徊在3%左右的年均增长率,EDA约是6%的增长速率。但2017年,半导体业突然有22%蹿升,目前行业产值即将突破4000亿美元。在近期举行的MentorForum2018(Mentor技术论坛)上,Mentor总裁兼CEOWaldenC.Rhines先生分享了他对半导体设计行业的观察,分析了三大原因导致了半导体业设计不断加快,并认为半导体业还有20年的增速发展期。

  • 标签: 半导体业 设计行业 年均增长率 增长速率 技术论坛 总产值
  • 简介:工程部是PCB加工的起始点,是把客户资料转换成生产资料的重要环节,工程部产品设计的标准化在提升产品生产质量、提高产品生产效率、增加产品产量、降低产品成本,提高公司整体经济效益几个方面起到重要作用。

  • 标签: 标准化 质量 效率 成本