学科分类
/ 1
1 个结果
  • 简介:介绍一种在FPGA中运用VHDL语言实现数字频率计的方法。该频率计其频率测量范围为0Hz~100MHz,测量结果用8只数码管显示。其设计方法与传统的设计方法相比,具有外围电路简单,程序修改灵活和调试容易等特点。

  • 标签: FPGA VHDL~频率计